Part Number Hot Search : 
ISL6522B 60EPU02 0STRR BC848 14B101 E002681 DS328 SML30L76
Product Description
Full Text Search
  inout Datasheet PDF File

For inout Found Datasheets File :: 441    Search Time::1.203ms    
Page :: | 1 | 2 | 3 | 4 | 5 | 6 | 7 | 8 | 9 | 10 | 11 | 12 | <13> | 14 | 15 |   

    HD74LV11A

Hitachi Semiconductor
Part No. HD74LV11A
OCR Text ...input voltage Low-level dynamic inout voltage Symbol VOL (P) VCC (V) 3.3 Min -- Typ 0.2 Max 0.8 Unit V Test Conditions VOL (V) 3.3 -- -0.1 -0.8 VOH (V) 3.3 -- 3.2 -- VIH (D) 3.3 2.31 -- -- V ...
Description Triple 3-input Positive AND Gates

File Size 53.19K  /  12 Page

View it Online

Download Datasheet





    NJRC[New Japan Radio]
Part No. NJM2781V NJM2781RB1 NJM2781
OCR Text ...verted Input No. 5 6 7 8 SYMBOL inout V+ GND FUNCTION Inverted Input Output Power Supply Ground -1- NJM2781 I ABSOLUTE MAXIMUM RATING (Ta=25C) PARAMETER SYMBOL Supply Voltage Power Dissipation Operating Temperature Range Storage T...
Description Monaural Microphone Amplifier

File Size 179.41K  /  11 Page

View it Online

Download Datasheet

    AMC2244DM AMC2244DMF AMC2244 AMC2244M AMC2244MF AMC2344 AMC2344DM AMC2344DMF AMC2344N AMC2344NF AMC2444 AMC2444DM AMC244

ADDtek Corp
Part No. AMC2244DM AMC2244DMF AMC2244 AMC2244M AMC2244MF AMC2344 AMC2344DM AMC2344DMF AMC2344N AMC2344NF AMC2444 AMC2444DM AMC2444DMF AMC2444N
OCR Text ...MATIC (PER AMPLIFIER) V+ IN+ inout V- ABSOLUTE MAXIMUM RATINGS Supply Voltage, VS Input Voltage, VIN Differential Input Voltage, d VIN Operating Junction Temperature Range, TJ (max) Storage Temperature Range Lead Temperature (soldi...
Description DUAL/Triple/QUAD LOW-POWER 60MHz UNITY-GAIN STABLE OP AMPLIFIERS

File Size 422.53K  /  11 Page

View it Online

Download Datasheet

    ICSSSTUA32S869B CSSSTUA32S869BHLF-T

Integrated Circuit Systems
Part No. ICSSSTUA32S869B CSSSTUA32S869BHLF-T
OCR Text ...RIN1 SSTL_18 Parity input inout parity is received on pin PARIN1 and should maintain (1) parity across the D1...D14 inputs, at the rising edge of the clock, one cycle after Chip Select is LOW. Partial Parity Output. Indicates parity o...
Description 14-Bit Configurable Registered Buffer for DDR2

File Size 287.15K  /  18 Page

View it Online

Download Datasheet

    LC898023KL LC898023KW

Sanyo Semicon Device
Part No. LC898023KL LC898023KW
OCR Text ...S1, RAS0 to RAS2, LWE, UWE, OE [inout] (13) * * * * * D0 to D7 (17) * * * * * ID0 to ID15 (14) * * * * * ATIPSYNC, BICLK, BIDATA, ACRCNG (15) * * * * * ACK, ATN, BSY, C/D, DB0 to DB7, DBP, I/O, MSG, REQ, RST, SEL Note: The XTAL0, XTAL1, XTA...
Description 40′ Playback/16′ Write CD-R/RW Encoder/Decoder IC with Built-in SCSI Interface
40? Playback/16? Write CD-R/RW Encoder/Decoder IC with Built-in SCSI Interface

File Size 76.89K  /  13 Page

View it Online

Download Datasheet

    CY3120 CY3120R62

Cypress Semiconductor
Part No. CY3120 CY3120R62
OCR Text ...IS port (clk: in std_logic; s : inout std_logic); end sequence; ARCHITECTURE fsm OF sequence IS SIGNAL count: std_logic_vector(3 downto 0); BEGIN BEGIN PROCESS BEGIN PROCESS BEGIN WAIT UNTIL clock = '1'; giveDrink <= '0'; returnDime <= '0';...
Description Warp CPLD Development Software for PC
Warp-R CPLD Development Software for PC

File Size 65.33K  /  8 Page

View it Online

Download Datasheet

    CY3128R62 CY3128

CYPRESS[Cypress Semiconductor]
Part No. CY3128R62 CY3128
OCR Text ...IS port (clk: in std_logic; s : inout std_logic); end sequence; ARCHITECTURE fsm OF sequence IS SIGNAL count: std_logic_vector(3 downto 0); BEGIN PROCESS BEGIN WAIT UNTIL clk = '1'; CASE count IS WHEN x"0" | x"1" | x"2" | x"3" => s <= '1'; ...
Description Programmable Logic : PLD Development Tools & Software
Warp Professional CPLD Software

File Size 92.26K  /  8 Page

View it Online

Download Datasheet

    CY3128

Cypress Semiconductor
Part No. CY3128
OCR Text ...IS port (clk: in std_logic; s : inout std_logic); end sequence; ARCHITECTURE fsm OF sequence IS SIGNAL count: std_logic_vector(3 downto 0); BEGIN PROCESS BEGIN WAIT UNTIL clk = '1'; CASE count IS WHEN x"0" | x"1" | x"2" | x"3" => s <= '1'; ...
Description CPLD Development Software

File Size 121.92K  /  8 Page

View it Online

Download Datasheet

    DNL12S0A0R16NFA DNL12S0A0R16NFB DNL12S0A0R16NFC DNL04S0A0S16PFA DNL04S0A0S16PFB DNL04S0A0S16PFC DNL04S0A0R16PFA DNL04S0A

DELTA[Delta Electronics, Inc.]
Part No. DNL12S0A0R16NFA DNL12S0A0R16NFB DNL12S0A0R16NFC DNL04S0A0S16PFA DNL04S0A0S16PFB DNL04S0A0S16PFC DNL04S0A0R16PFA DNL04S0A0R16PFB DNL04S0A0R16PFC DNL04S0A0R16NFA DNL04S0A0R16NFB DNL04S0A0R16NFC DNS12S0A0S16PFC DNL04S0A0S16NFA DNL04S0A0S16NFB DNL04S0A0S16NFC DNL12S0A0R16PFA DNL12S0A0R16PFB DNL12S0A0R16PFC DNL12S0A0S16NFA DNL12S0A0S16NFB DNL12S0A0S16NFC DNL12S0A0S16PFA DNL12S0A0S16PFB DNL12S0A0S16PFC DNM04S0A0R16NFA DNM04S0A0R16NFB DNM04S0A0R16NFC DNM04S0A0R16PFA DNM04S0A0R16PFB DNM04S0A0R16PFC DNM04S0A0S16NFA DNM04S0A0S16NFB DNM04S0A0S16NFC DNM04S0A0S16PFA DNM04S0A0S16PFB DNM04S0A0S16PFC DNM12S0A0R16NFA DNM12S0A0R16NFB DNM12S0A0R16NFC DNM12S0A0R16PFA DNM12S0A0R16PFB DNM12S0A0R16PFC DNM12S0A0S16NFA DNM12S0A0S16NFB DNM12S0A0S16NFC DNM12S0A0S16PFA DNM12S0A0S16PFB DNM12S0A0S16PFC DNS04S0A0R16NFA DNS04S0A0R16NFB DNS04S0A0R16NFC DNS04S0A0R16PFA DNS04S0A0R16PFB DNS04S0A0R16PFC DNS04S0A0S16NFA DNS04S0A0S16NFB DNS04S0A0S16NFC DNS04S0A0S16PFA DNS04S0A0S16PFB DNS04S0A0S16PFC DNS12S0A0R16NFA DNS12S0A0R16NFB DNS12S0A0R16NFC DNS12S0A0R16PFA DNS12S0A0R16PFB DNS12S0A0R16PFC DNS12S0A0S16NFA DNS12S0A0S16NFB DNS12S0A0S16NFC DNS12S0A0S16PFA DNS12S0A0S16PFB
OCR Text ...nt Inrush Transient Recommended inout Fuse Input Reflected-Ripple Current Input Voltage Ripple Rejection OUTPUT CHARACTERISTICS Output Voltage Set Point Output Voltage Adjustable Range Output Voltage Regulation Over Line Over Load Over Temp...
Description Delphi DNL, Non-Isolated Point of Load DC/DC Power Modules: 2.8-5.5Vin, 0.75-3.3V/16A out

File Size 832.03K  /  16 Page

View it Online

Download Datasheet

For inout Found Datasheets File :: 441    Search Time::1.203ms    
Page :: | 1 | 2 | 3 | 4 | 5 | 6 | 7 | 8 | 9 | 10 | 11 | 12 | <13> | 14 | 15 |   

▲Up To Search▲

 




Price and Availability




 
Price & Availability of inout

All Rights Reserved © IC-ON-LINE 2003 - 2022  

[Add Bookmark] [Contact Us] [Link exchange] [Privacy policy]
Mirror Sites :  [www.datasheet.hk]   [www.maxim4u.com]  [www.ic-on-line.cn] [www.ic-on-line.com] [www.ic-on-line.net] [www.alldatasheet.com.cn] [www.gdcy.com]  [www.gdcy.net]


 . . . . .
  We use cookies to deliver the best possible web experience and assist with our advertising efforts. By continuing to use this site, you consent to the use of cookies. For more information on cookies, please take a look at our Privacy Policy. X
2.8958249092102